TSMC, 2025년부터 2나노 양산 개시
인텔, 4년간 5개 기술 노드 구현 도전
삼성, 3나노 GAA 선행 적용으로 우위 선점 예상

[테크월드뉴스=박규찬 기자] 첨단 프로세스를 진행하고 있는 삼성전자, TSMC, 인텔 3사의 파운드리 로드맵에 대한 분석이 나왔다. 현재 삼성, TSMC, 인텔 등 주요 파운드리들은 차세대 GAA 기술 주도권을 잡기 위해 적극적으로 경쟁하고 있으며 선제적인 로드맵을 제시하고 있다.

삼성전자, 인텔, TSMC의 팹 전경 [사진=삼성전자, 인텔, TSMC]
삼성전자, 인텔, TSMC의 팹 전경 [사진=삼성전자, 인텔, TSMC]

대만 트렌드포스에 따르면 삼성전자, TSMC, 인텔 등 첨단 프로세스를 다루는 파운드리의 2나노 프로세스 이후의 로드맵이 나오면서 차세대 GAA 기술에 있어 리더 자리를 둘러싼 개발 경쟁이 가속화될 것으로 전망된다.

TSMC, 2025년부터 바오산 공장서 2나노 양산 개시
업계 1위인 TSMC에 대해 트랜드포스는 대만의 신죽 과학원구의 바오산 지구(신죽현 바오산 향)에 있는 공장에서 2024년 2분기부터 2나노(N2) 프로세스의 양산을 위한 제조 설비의 설치를 시작할 예정이며 양산은 2025년 4분기부터 월산 약 3만 장(300mm 웨이퍼)으로 개시할 예정이다.

주요 파운드리의 첨단 프로세스 로드맵. 각사가 발표한 계획을 바탕으로 트렌드포스가 작성한 것으로 이와 같이 실현되는 것은 아니다. (자료=트렌드포스 2023년 9월)
주요 파운드리의 첨단 프로세스 로드맵. 각사가 발표한 계획을 바탕으로 트렌드포스가 작성한 것으로 이와 같이 실현되는 것은 아니다. (자료=트렌드포스 2023년 9월)

또한 2나노 양산 거점으로 새롭게 지정된 가오슝 공장은 N2의 양산 개시 1년 후인 2026년부터 HPC용으로 백사이드 전원 공급 기술을 채용하는 N2P를 양산키로 했다. 백사이드 전원 공급 기술은 기존 대비 10~12%의 속도향상과 10%~15%의 로직 밀도를 향상시킨다.

TSMC는 대만 북부(타오위안)에 있는 용담과학원구에 2나노 이후(2나노 증산 혹은 1.4나노 생산)의 최첨단 반도체 공장 건설할 계획을 세운 것으로 알려졌으나 지난 10월 17일 대만 언론에 따르면 현지 주민의 반대로 인해 건설을 포기한 것으로 알려졌다.

아울러 신공장은 대만 정부의 과학원구관리국이 과학원구 제3기 확장을 향해 취득할 땅에 지어지기로 돼 있었으나 공장용지 확장을 위해 철거를 요청받은 다수의 현지인들의 강력한 반대 목소리가 나오자 단념할 수밖에 없게 됐다고 한다. 자세한 내용은 아직 밝혀지지 않았지만 이로 인해 TSMC는 당분간 2나노 제품은 2공장에서 양산키로 했지만 이번 토지 인수 포기가 TSMC의 2나노 프로세스 제조에 영향을 주지는 않을 것이라고도 했다.

 

인텔, 4년 동안 5개 기술 노드 구현 도전
인텔은 FinFET에서 MBCFET, 게이트 올 어라운드(GAA) FET에 기반한 BSPDN(백사이드 파워 딜리버리 네트워크) 등의 기술로 진화를 서두르고 있다. 인텔은 ‘4년 만에 5개의 기술 노드의 실현’이라는 빠른 속도의 미세화 목표를 내걸고 2024년에 타사 추월을 목표로 하고 있다.

4년에 5개의 기술 노드를 달성하기 위한 인텔 로드맵 [자료=인텔, 2023년 8월]
4년에 5개의 기술 노드를 달성하기 위한 인텔 로드맵 [자료=인텔, 2023년 8월]

인텔 로드맵에 따르면 인텔은 GAA 기술을 기반으로 하는 RibbonFET 트랜지스터 아키텍처를 채용한 인텔 20A 프로세스의 생산을 2024년 상반기에 시작할 예정이며 그 파생판이 될 인텔 18A 프로세스로의 생산에도 이행할 계획을 내세우고 있다.

특히 인텔은 현재 ‘Intel 4’ 프로세스가 아일랜드 공장에서 양산에 돌입한다고 발표했지만 EUV 노광 장치의 대수가 부족한지 여부가 불투명하고 계획대로 로드맵을 유지할 수 있는지도 아직 알 수 없다.

또한 인텔의 계획에서는 2나노 부근의 프로세스를 목표로 타사에 앞서 고NA(NA=0.55)의 EUV 노광장치를 도입하는 방향성을 나타내고 있지만 고NA EUV 노광장치도 스케줄대로 실용화될지 여부는 아직 전망할 수 없는 상태다.

 

삼성, 3나노 GAA 선행 적용으로 우위 선점 예상
삼성의 파운드리 사업은 타사에 앞서 3나노 프로세스로부터 GAA 아키텍처를 채용했지만 저조한 수율로 고전을 면치 못하고 있는 것으로 조사됐다. 한편 삼성은 2025년까지 2나노 프로세스로 양산 개시를 목표로 2027년에는 1.4나노 프로세스 양산을 시작한다는 계획이다.

반면 TSMC나 인텔은 2나노 프로세스부터 GAA 아키텍처의 채용을 예정하고 있는데 앞서 GAA를 도입한 삼성이 그 경험을 2나노에도 활용할 수 있다면 선행 도입으로 인해 타사보다 높은 수율을 확보할 수 있어 시장에서의 우위를 차지할 가능성도 있다.

 

미국의 규제로 미세화 막힌 중국의 SMIC, 불투명한 일본의 라피더스
이 밖에 미국을 중심으로 한 대중 반도체 규제의 영향을 받고 있는 SMIC는 미세화 계획에 차질을 빚고 있다.  이는 ASML의 EUV 노광장치를 입수할 수 없기 때문이지만 이를 근거로 입수한 ArF 액침 노광장치를 이용한 멀티 패터닝으로 7나노 프로세스를 실현해 향후 5나노 프로세스도 무리 없이 성공할 것이란 의견이다.

일본의 라피더스는 파트너인 IBM과 imec의 협력을 통해 2027년 말까지 일본에서 2나노 프로세스의 양산 개시를 목표로 하고 있지만 그 이후 로드맵에는 2나노 프로세스 이후 아무것도 명시된 것이 없다. 반면 삼성과 TSMC는 2027년에 최첨단 프로세스인 1.4나노를 양산 적용하는 로드맵을 발표했다.

회원가입 후 이용바랍니다.
개의 댓글
0 / 400
댓글 정렬
BEST댓글
BEST 댓글 답글과 추천수를 합산하여 자동으로 노출됩니다.
댓글삭제
삭제한 댓글은 다시 복구할 수 없습니다.
그래도 삭제하시겠습니까?
댓글수정
댓글 수정은 작성 후 1분내에만 가능합니다.
/ 400
내 댓글 모음
저작권자 © 테크월드뉴스 무단전재 및 재배포 금지
이 기사와 관련된 기사
TSMC, 2나노 양산 2026년으로 늦춰지나…공장 건설 계획도 둔화
전 세계 반도체 불황으로 인해 TSMC 등 주요 글로벌 파운드리 업체들의 팹 건설에 차질을 빚고 있다.