세계 반도체 기술의 올림픽인 국제 고체회로 학술회의(ISSCC: International Solid-State Circuits Conference)가 오는 2월17~21일까지 5일간  미국 샌프란시스코 메리엇 호텔에서 열린다. ISSCC에 채택된 모든 논문들은 기존과는 다른 새로운 개념으로 접근하여 실제로 제작된 칩을 측정한 결과를 바탕으로 하고 있다. 매년 4000명 이상의 전문가들이 모여 회로 설계 분야의 최신 연구 성과와 정보를 교환하고 반도체 산업의 미래에 대해 토론한다.   <정리 : 신윤오 기자>

이번 학회에서는 총 629편의 논문이 제출되었으며 그 중 엄격한 심사를 거쳐 최종적으로 209편의 논문만이 채택되었다. 이 중 한국의 논문의 총22편이 채택되었으며 미국과 일본에 이어 세 번째로 많은 논문이 채택되었다. 특히 각 기관 중 KAIST는 미국 인텔, MIT 대학 등을 제치고 12편이 채택되며 3년 연속 세계 정상의 자리를 차지하였다.
올 학회의 주제는 "60 Years of (Em)Powering the Future"(미래를 강화하기 위한 60년)이다.

기조 연설은 AMD의 리사 수(Lisa Su) 선임 부사장의 "Architecting the Future through Heterogeneous Computing"(이종간 컴퓨팅을 통한 미래의 구축 방향)이 발표되고, ASML의 Martin van den Brink 수석 부사장은 "Next Generation Lithography: Process and Outlook"-공정과 거시적 입장에서의 차세대 Lithography의 방향에 대해 발표한다. 또한 파나소닉의 Yoshiyuki Miyabe CTO는 "Smart Life Solutions from Home to Cities" (가정에서 도시로의 더욱 편리한 삶을 위한 해결책을 제시)라는 주제를 발표한다. 칼텍의 Carver Mead 교수는 "The Evolution of Technology"(기술의 진화)를 설명한다.

포럼의 주제는 "고속 유선 통신을 위한 최근 기술", 튜토리얼은 "On-chip 전압과 타이밍 진단 회로", 특별 세션 주제는 "4바퀴 위의 초고속 통신? 당신의 다음 자동차는?" 이다.

다음은 ISSCC 2013 기술 분과별 주요 논문을 소개한다.

무선(Wireless) 분야

무선 분야는 전통적으로 유럽이 강세를 보이고 있는 분야로, 이번 학회에서는 고성능 무선분야(아시아:2 /북미:4 /유럽: 2), 스마트 기기용 무선 트랜시버(아시아:3 /북미: 2 /유럽: 3 ), 에너지 효율 무선(아시아:4(한국:1) /북미: 4 /유럽: 2) 등의 논문이 제출됐다.

고성능 무선

단거리, 높은 대역폭, 높은 주파수 시스템을 위한 다양한 새로운 애플리케이션이 있다. 하나의 영역은 60GHz 멀티 Gbps 단거리 통신이다. 이 시장을 위해서 고집적 에너지 효율 beam former, 송수신기 및 베이스 밴드 솔루션이 필요하다. 처음 다섯 논문은 밀리미터파라디오에 대한 현재 최첨단의 솔루션을 제시한다. 또 다른 유망시장은 실리콘통합 및 혼합 신호 아키텍처 구조를 이용한 저비용, 고성능 레이더와 라디오 솔루션이다. 이 세션의 두 번째 부분은 두 광대역 레이더칩, 의료영상 및 단거리 원격모니터링을 위한 칩, 채널결합 디지털 위성IC를 제시하고 있다.

-Wi Gig/IEEE 802.11ad용 첫 번째 60GHz 송수신 칩셋은 788mW(Tx), 984mW(Rx)의 전력을 소모하면서 1.8Gb/s MAC 처리량를 달성하였고 밀리미터웨이브용 초단과 디지털 백 앤드 부분을 각각 90nm와 40nm로 구현하였다. [13.1: Panasonic]

-65nm CMOS로 설계된 직교공간 결합기술과 디지털 변조 파워 앰프를 사용한 4x260GHz beam forming 송신기는 백오프 6dB 파워에서 16.5%의 평균 PA 효율을 가지면서 6GB/s 의 16QAM 데이터를 지원한다. [13.2: UCB]

스마트 기기용 무선 트랜시버

휴대전화와 태블릿과 같은 스마트기기는 여러 무선통신 연결 제공한다. 높은 데이터 속도, 높은 전력 효율성 및 낮은 비용의 요구를 충족시키기 위해 디지털 기술과 고급 CMOS 공정을 이용하여 SOC에 멀티 밴드 기능을 통합하고 있다.

이 세션에서는 세 편의 디지털 WLAN 및 블루투스송신기에 대한 TX 논문과, 두 편의 고성능 LTE TX의 변조기에 대한 논문과 연속되지 않은 캐리어 통합을 지원하는 LTE 수신기에 대한 논문이 있다. 또한 SOC 측면에서 MIMO를 지원하는 범용 GNSS 수신기 및 WLAN 트랜시버의 논문이 있다.

이 논문에서 GPS/Galileo-Glonass와 GPS/Galileo-Beidou를 동시 수신 가능한 40n CMOS를 이용하여 0.25㎟ 면적에 구현된 GPS 수신기를 제안한다. 이 GPS 수신기는 20mW 전력소모를 하면서 2.1dB NF, -165 추적 감도와 -8dBm out-of-band IIP3의 성능을 가지고 있다. [19.4: MediaTek]

연속되지 않은 동일 주파수 대역과 다른 주파수 대역 간의 동시에 세 가지 20MHz LTE캐리어를 통합하여 수신할 수 있는 최초의 단일칩 수신기가 65nm CMOS를 이용하여 14.8 ㎟의 면적으로 설계되었고 하나의 캐리어 수신시에 115mW 파워소모를 하고 세 개의 캐리어 수신시에 435mW 파워를 소모한다. [19.5: Ericsson]

에너지 효율적인 무선
다중표준 단거리 통신 및 신체 영역네트워크시스템을 위한 에너지 효율이 좋은 송수신기가 새롭게 연구되고 있다. 이 세션에서는 주로 BTLE, Zigbee 및 WBAN과 같은 매우 낮은 전력을 요구하는 시스템에 필요한 여러 가지 기술에 대한 것과 매우 저전력 통신 및 빠른 웨이크업 기술에 대한 것에 대해서 나와 있다.

0.13mm CMOS 공정에서 IEEE 802.15.6을 지원하는 WBAN 송수신기는 디지털 밴드 패스 필터를 채용하여 1.2V에서 5.5mW의 전력소비를 하면서도 전송 스펙트럼 마스크 요건이 만족하고 있다. [25.7: KAIST]

자동필드 모니터 태그제어방식을 이용하여 넓은 동적 범위 요구사항을 만족하는 NFC 솔루션이 45nm CMOS를 이용하여 3.4㎟ 면적으로 구현되었다. 제안된 구조는 0.15A/m RX 감도 및 4mA 전류 소모를 하면서 여러 데이터 속도를 지원하면서 높은 동적 범위를 달성한다. [25.1: Texas Instruments]

RF 기술 분야

RF 분과에는 총 3.5개 세션에서 25편의 논문이 발표됐다. 아시아 6편(한국 1편, KAIST& Phychips), 미국 10편, 유럽 9편이 나왔다. 주요 세션은 RF Techniques,  Millimeter-Wave Techniques, High-Performance Wireless, Frequency Generation 등이 있다. 포럼은 Advanced RF Transceiver Design Techniques가 열린다.

RF Techniques
새로운 아키텍처(구조)는 높은 집적도를 가지는 CMOS 라디오의 성능향상을 위해 계속 발전하고 있다. 수신기 프런트엔드에서 Blocker Cancellation 구조는 높은 차단 수준의 내성(immunity)을 향상시키기 위해 구현되었다. 송신기에서는 효율 개선과 deep submicron CMOS에서 높은 출력 전력을 달성했다.

SAW-less analog front-end receivers for TDD and FDD[5 . 1 : University of Pavia] 가장 작은 전력소모와 크기를 가지는 SAW가 없는 수신기

A Phase Noise and Spur Filtering Technique Using Reciprocal-Mixing Cancellation[5 . 3 : Broadcom] 큰 블럭커가 있는 상황에서 위상 잡음과 Spur를 제거하는 효과적인 방법으로 수신기의 NF를 줄이는 방법을 제시한다.

A 1.8GHz Linear CMOS Power Amplifier with Supply Path Switching Scheme for WCDMA / LTE Applications[5.5:Toshiba] 전원 전압의 공급경로를 스위칭하는 방법으로 낮은 전력에서 큰 효율을 얻을 수 있는 방법을 제시했다.

Millimeter-Wave Techniques
레이더와 이미징 응용을 위한, 밀리미터파 CMOS 및 Si Ge BiCMOS 송수신기는 높은 집적도와 성능으로 더 복잡해지고 있다. CMOS 증폭기 및 송신기는 새로운 회로와 power  combining을 이용하여 지속적으로 높은 밀리미터파 범위(>200GHz)로 올라가고 있다. 이번 세션에서 발표된 논문들은, millimeter wave 주파수 대역의 CMOS 및 BiCMOS 시스템-온 - 칩, LNA, VCO, 송신기, 그리고 전력 증폭기에 초점을 맞추고 있다.

A 260GHz Broadband Source with 1.1mW Continuous-Wave Radiated Power and EIRP of 15.7dBm in 65nm CMOS [8.2: Cornell University] 

288GHz와 420GHz 0.3 mW CMOS CW 소스를 만들었다. 8-element 안테나 어레이로 1.1mW radiated 전력과 15.7 EIRP를 260GHz에서 얻었다.

A 94GHz 3D Image Radar Engine with 4TX/4RX Beam forming Scan Technique in 65nm CMOS[8.6: National Taiwan University] 

세계 최초의 4-element phased-array radar transceiver로서 펄스포밍이 가능한 회로를 설계하였으며, 판단 회로와 CMOS에 하나의 칩으로 집적함. FMCW(or pulse radars) 레이더가 150GHz까지 CMOS에 SOC로 집적된다.

Frequency Generation
VCO 및 PLL 성능은 수신기, 송신기, Imagers, 레이더 시스템 등 거의 모든 RF 응용에 중요하다. 이 세션에서는 낮은 위상 잡음, 높은 튜닝범위와 낮은 전력 동작에 대한 세 개의 논문들과 함께 VCO 설계에서 최근 진전된 부분에 대해 발표하는 것으로 시작한다. 60GHz ADPLL, 낮은 전력 1GHz 디지털 PLL, 그리고 다양한 밴드 DTV 애플리케이션을 위한 50~930MHz 넓은 대역의 설계가 발표된다.

A 2.5~3.3GHz CMOS Class-D VCO [20.1: Lund University]
   위상 잡음을 낮게 유지하면서 고전력 효율을 갖는 Class-D CMOS VCO (FOMof 188-190dBc/Hz)
A 50-to-930MHz, Quadrature-Output, Fractional-N Frequency Synthesizer with 770-to-1860MHz Single-Inductor LC-VCO and without Noise Folding Effect for Multi-Standard DTV Tuners [20.7: Fudan University]
멀티 스탠다드 DTV 튜너를 위한 50-to-930MHz fractional-N synthesizer. 이 주파수 합성기는 linearized PFD/CP를 noise folding을 줄이기 위해서 사용하고 있다.

아날로그 분과

아날로그 분과는 3개의 기술 세션을 소개한다. 하베스팅 전력과 무선전력(극동아시아: 5 (한국1) / 북미: 1 / 유럽: 2 ). 아날로그 기술(극동아시아: 2(한국1) / 북미: 2 / 유럽: 5).  전력 변환장치(극동아시아: 2(한국1) / 북미: 6 / 유럽: 0)

하베스팅 전력과 무선전력
전력을 무선 전송하거나 주변 에너지원으로부터 전력을 생산하는 전력소자들에 대한 뜨거운 관심이 지속되고 있다. 이러한 소자들은 넓은 전력량 범위에서도 높은 전력변환효율을 유지하는 것이 중요하다. 이 세션은 무선 전력 전송과 유도 전력 전송에서의 향상된 전력 효율을 위한 기술들을 소개하는 2개의 논문과 광발전 소자와 압전소자를 이용한 에너지 하베스터에 대한 6개의 논문으로 구성되어 있다.

A Resonant Regulating Rectifier (3R) Operating at 6.78MHz for a 6W Wireless Charger with 86% Efficiency[4.1: KAIST]

5V 출력전압과 6W의 공급능력을 가지는 공진형 무선 전력 전송 소자.
다이오드 손실을 포함하여 86% 효율을 나타냄.

A 1μW-to-1mW Energy-Aware Interface IC for Piezoelectric Harvesting with 40nA quiescent current and Zero Bias Active Rectifiers[4.7: imec -Holst Centre]

제로 바이어스 능동정류기를 가진 전력관리회로로서 에너지 인식 정전압을 제공함.
40nA의 대기 전류만을 소비하면서, 저장 콘덴서에 전하를 충전하고 조절하는 완전 자율 IC.
1μW~1mW의 전력 범위에서, 90%~94%의 정류 효율을 달성한다.

아날로그 기술
이 세션에서는 기존의 단순한 범주를 넘어서 다양하게 발전하고 있는 현대 아날로 그 회로 기술들이 발표된다. 정밀도, 소비 전력, 성능 면에서 새로운 지평을 여는 필터, 앰프, 오디오 그리고 발진기 등이 발표된다.

A 0.1-to-1.2GHz Tunable 6th-order N-path Channel-Select Filter with 0.6 dB Passband Ripple and +7dBm Blocker Tolerance[10.1: University of Twente]
무선 송수신기의 외부 SAW-LNA 필터를 대체하면서, 주파수를 10배 범위로 조정 가능한 최초의 집적 필터이다.

A 0.06mm2 14nV/ √Hz Chopper Instrumentation Amplifier With Automatic Differential Pair Matching[10.4: Toyohashi University of Technology

디지털 보정 방식으로 오프셋을 줄이는 세계 최초의 계측기용 증폭기.
•기존 연구보다 7.8배 작은 면적과 가장 높은 집적도를 달성하였다.

전력변환장치(Power Converters)
효율적인 전력변환은 모바일 기기의 작동시간을 연장하고 높은 전력사용 기기에서 열 발생을 줄일 수 있다. 이 세션에서는 SoC(Systemon Chip)에 적용 가능한 유도 컨버터와 스위칭 커패시터 컨버터에서부터, 엔벌로프추적 RF 파워 증폭기를 위한 전압변조기와 고출력 LED 드라이버 등이 발표됩니다. 전력 효율과 밀도를 증가시키기 위한 새로운 회로 기술들과 기술옵션들이 소개된다.

An 82.4% Efficiency Package-Bondwire-Based Four-Phase Fully Integrated Buck Converter with Flying Capacitor for Area Reduction[21.1: HKUST]
1/10 수준으로 온 칩 커패시터를 줄이고, 멀티 페이즈 구현의 비용을 줄이기 위해, 패키지 본딩 와이어를 이용한 100MHz4-페이즈벅 컨버터.
외부 인덕터없이 0.96A/㎟ 전류밀도와 82.4% 효율을 구현.
3nH인 덕터를 가지고 240mA~1.2 A범위에서 80% 이상의 효율을 구현.

EED 기술 분과

EED(Energy-Efficient Digital) 분과는 총2개 세션에서 17편의 논문을 발표했다. 아시아 9편(한국3편), 미국 5편, 유럽 3편이다. 세션에서는 모바일 애플리케이션 프로세서와 미디어 액셀레이터와 Energy Aware Digital Design 등이 소개된다. 포럼에서는 VLSI 전력관리기술: 원리 및 응용이 소개되고 튜토리얼에서는 FinFET을 이용한 회로설계가 소개된다.

모바일 애플리케이션 프로세서와 미디어 액셀레이터
스마트폰과 태블릿의 두뇌 역할을 수행하는 애플리케이션 프로세서(Application Processor, AP)는 최근 IT혁명의 중심에 자리잡고 있다. 본 세션에서는 세 가지의 최신 AP가 발표되는데, 고성능 멀티코어 CPU를 장착한 AP, 모뎀- AP의 단일칩 솔루션, 그리고 통합멀티미디어 처리를 위한 GPU가 소개된다. 이와 함께 차세대 비디오 디코더, 계산 사진처리, 물체인식 등 새로운 응용분야를 위한 저전력 미디어 가속기들이 발표된다.

28nm High-K Metal Gate Heterogeneous Quad-core CPUs for High-Performance and Energy-Efficient Mobile Application Processor[9.1: 삼성전자]

삼성에서는 ARM-v7A 기반의 고성능저전력모바일 애플리케이션 프로세서를 위한 캐쉬 일관성버스 기반의 28nm 이종쿼드코어 CPU를 발표함.

세계 최초의 big-little CPU 구현에 대한 방법론 및 구현결과를 발표할 예정이다.

A 28nm HKMG Single-Chip Communications Processor with 1.5GHz Dual Core Application Processor and LTE/HSPA+ Capable Baseband Processor[9.2: Renesas Mobile]
일본 르네사스에서는 1.5GHz듀얼 코어 애플리케이션 프로세서와 2G/3G/HSPA+/LTE 베이스 밴드프로세서를 하나의 칩으로 통합한 28nm HKMG CMOS 기반 단일칩 솔루션을 발표함.
•45nm 구현대비 52% 면적 감소, 25% 성능 증가,43%의 전력 감소를 나타낸다.

72.5GFLOPS, 240Mpixels/s, 1080p 60fr multi format video codec application processor enabled with GPGPU for fused multimedia application [9.4: 삼성전자]
삼성은 72.5GFLOPS GPGPU 기능, 240Mpixels/s 영상 처리 성능, 60fps 1080p 멀티포맷코덱기능 등을 1.7GHz듀얼 코어 ARMv7A 아키텍처 CPU 및 12.8GB/s 메모리시스템과 통합한 멀티미디어 프로세서를 선보임.
•통합멀티미디어 응용을 위한 GPGPU 기능과 CPU 대비 10배 향상된 에너지 효율성을 구현한다.

A 646 GOPS/W Multi-classifier Many-core Processor with Cortex-like Architecture for Super-Resolution Recognition[9.8: KAIST]
•KAIST에서는 강인하고 정확한 물체인식을 위한 다중 분류기 매니 코어 프로세서를 제안함.
본 기술을 통하여 작고 흐린 영상의 물체까지 96%의 정확도로 인식이 가능해지며, 9.4n J/pixel의 에너지 효율성을 달성한다.

Energy Aware Digital Design
에너지 효율성과 저전력설계는 미래 시스템-온-칩(SoC) 구현을 위한 핵심기술로 떠오르고 있다. 본 세션에서는 이를 위한 혁신적인 회로설계 기법들이 소개된다. 시간 영역에서의 아날로그 계산 기법, 바디바이어 싱 기법, 그리고 공명현상 기반 클럭킹 기법 등이 소개되며, 이들을 LDPC 디코더, 바이오-메디컬프로세서, FIR 필터 등에 실적용한 결과들이 발표된다.

A 10.4 pJ/bit(32, 8) LDPC Decoder with Time-domain Analog and Digital Mixed-Signal Processing [24.1: Toshiba]
도시바에서는 시간 영역에서의 아날로그 신호처리 기술을 제안하고, 이를 활용한 LDPC 디코더 구현결과를 발표함.
이는 디지털의 장점을 유지하면서도 아날로 그 연산의 효율성을 활용하여 연산회로의 면적과 전력소모를 감소시켜 10.4p J/bit 및 6.1 Gbps/㎟의 효율성을 나타낸다.

A Self Super Cutoff Power Gating with State Retention on a 0.3V 0.29 fJ/Cycle/Gate 32b RISC Core in 0.13μm CMOS[24.4: National Chung Cheng University]
대만의 국립 충청 대학에서는 Low-Vth 소자의 누설전류를 차단하기 위한 SCCMOS 회로에서의 차지 펌프 이슈를 해결하는 스택된 파워게이팅(Stacked powergating) 기법을 발표함
•ULVCMOS 설계대비 23.4%의 에너지 감소 및 55.1%의 대기전력 감소를 가져온다.

100 GByte/s Wide I/O with 4096 bit TSVs through an Active Silicon Interposer with In-Place Waveform Capturing[24.8: Kobe University]
일본 고베 대학에서는 4096bit의 폭을 가지는 Wide I/OTSV버스를 선보임.
이는 메모리, Si 인터포저, 로직칩의 3층 스택을 90nm CMOS, Cu TSV로 구현하여 100GB/s의 데이터 전송률 및 0.56mW/Gbps의 에너지 효율성을 달성했다.

Intermittent Resonant Clocking Enabling Power Reduction at Any Clock Frequency for 0.37V, 980kHz Near-Threshold Logic Circuits[24.9: University of Tokyo]
일본 동경대에서는 임의의 낮은 주파수를 생성 가능한 공명 기반 클럭킹 기법을 제안함.
이에 기반 한 0.37 V980kHZ 32-bit 덧셈 기구현을 통해 36%의 클럭 전력 및 81%의 클럭 누설 전력이 줄어드는 결과를 발표했다.

HPD 분과

HPD(High Performance Digital) 분과는 2개의 기술 세션으로 구성됐다. 프로세서와 디지털 PLL과 구성 요소가 그것이다.

5.5GHz로 구동되는 System Z 마이크로프로세서와 멀티칩 모듈 [논문번호3.1: IBM]
•현재까지 개발된 마이크로 프로세서 중 최고의 동작주파수!

IBM이 발표한 System Z 마이크로 프로세서 칩은 6개의 5.5GHz코어와 48MB 임베디드 DRAM의 L3캐시를 장착했다. 이 프로세서는 총 27억 5천만 개의 트랜지스터로 구성된다. 598㎟의 이 칩은 15단의 메탈 적층이 가능한 32나노 high-Kmetal-gate SOI 공정에서 구현되었다. 이 프로세서 칩 6개와 2개의 192MB L4캐시 칩을 묶어 하나의 멀티칩 모듈이 구성되며 이 멀티칩 모듈은 총 530GB/s의 대역폭을 갖는다.


Godson-3B1500: A 32nm 1.35GHz 40W 172.8GFLOPS 8-코어프로세서 [논문번호3.3: Loongson 테크놀러지]
•수퍼 컴퓨터를 타깃으로 하는 중국 발 마이크로 프로세서.

10단 메탈 적층이 가능한 32나노 high-Kmetal-gate 공정으로 제작된 Godson-3B 1500는 11억 4천만 개의 트랜지스터가 사용된 8-코어 마이크로 프로세서다. 1.35GHz에서 동작하면서 40W의 전력소모로 172.8GFLOPS의 성능을 구현한다. 다양한 아키텍처 및 회로 기술의 개선을 통해 0.9V에서 1.3V 사이의 넓은 전압 영역에서 동작이 가능하게 되었으며 65나노 공정에서 구현된 이 전 세대 프로세서와 비교해 전력소모가 35% 효율 개선되었다.

미션 크리티컬한 유닉스서버를 위한 제10세대 16-코어 SPARC64 프로세서 [논문번호 3.8:후지쯔]
•미션 크리티컬한 응용을 위한 SPARC64 멀티코어 프로세서.

3GHz 10세대 SPARC64 프로세서는 16개의 코어가 24MBL2캐시를 공유하며 시스템 / DDR3/PCIe 인터페이스를 내장하고 있다. 13층 메탈 적층이 가능한 28나노 CMOS 공정에서 제작되었으며 588㎟의 칩 위에 30억 개의 트랜지스터를 집적하였으며, 미션 크리티컬한 응용을 위해 새롭게 개발된 레지스터 파일과 14.5GB의 대역폭을 갖는 SerDes를 장착하고 있다.

디지털 PLL과 구성요소
이 세션에서는 PLL, 클럭 생성 / 분배기법, 온 칩 센서, 칩간 통신 방법과 타이밍 마진 개선 기법에 대한 최신 개발 동향을 소개한다. 소개된 설계들은 전통적으로 아날로그 기법으로 구현된 기능들에 디지털 구조를 적용함으로써 PVT(공정/전압/온도) 변화에 대한 내성과 면적/파워 측면의 효율성을 개선하거나 새로운 공정세대로의 이동이나 새로운 기술 채택이 손쉽게 이루어질 수 있음을 보여준다.

합성 가능한 올디지털 PVT 보정 회로를 사용한 0.022㎟  970μW- 243dB 성능 지수를 갖는 이중루프 Injection-Locked PLL[14.1: 동경공업대학]

•0. 022㎟  970mW 이중 루프 injection-locked PLL을 발표한다.
발표되는 하이브리드 PLL은 65나노 CMOS 공정에서 구현되었으며 올 디지털 PVT 보정 회로를 내장하고 있다. Injection-locking 기법을 이용한 TDC-less 디지털 FLL을 통해 면적을 줄였고, PVT 추적을 위해 메인 루프와 리플리카 루프를 사용함으로써 RMS jitter 수준을 0.7ps로 낮추었다.

•자동차/ 산업 등의 다양한 응용분야에서 모바일 프로세서와 함께 사용 가능하다.

A 0.026mm2, 5.3mW, 32-2000MHz Digital Fractional-N Phase Locked-Loop Using a Phase Interpolating Phase-to-Digital Converter[14.4: 삼성]
소비재 전자제품의 짧은 설계 사이클에 대응하기 위해 아날로 그 회로설계에 필요한 리소스를 최소화하는 디지털 구조의 PLL이 발표된다.
28나노 CMOS 공정에서 구현된 이 PLL은 0.026㎟의 면적으로 그동안 발표된 것중 최소다. 출력 주파수에 따라 1GHz에서는 2.2mW,2GHz에서는 5.3mW의 전력을 소모한다.

IMMD 분과

IMMD 분과는 총 3개 세션에서 27편의 논문을 발표했다. 아시아 10편(한국3편), 미국 10편, 유럽 7편. 주요 세션은 바이오 메디컬 회로와 시스템, Sensors & Displays, Image Sensors.
아시아는 Displays and Imagers가 우세이며 미국과 유럽은 디스플레이를 제외하고 모든영역에서 우세하며 유럽은 Biomedical & Sensors 영역에서 우세한다.

폐회로 SoC 형태가 제안되어 간질 발작 증세를 찾을 수 있는 안이 제안되었고, 몸 안에 심기 위한 저전력/저노이즈/경량화, NFC형의 통신, magnetic spectrometer, 그리고 다채널 데이터 전송시보다 효율적인 방법 등이 제안되었다.

바이오 메디컬 회로와 시스템
A Fully-Integrated 8-Channel Closed-Loop Neural-Prosthetic SoC for Real-Time Epileptic Seizure Control[16.1: 국립교통대, 대만]

기술 요약: 간질 발작을 폐회로 SoC 형태의 완전 집적한 형태로 제어하고 적응적 신경 자극이 제안되었다. 와이어리스로 동작되어 몸 안에 착상 가능하다.

응용 및 경제효과: 5천만의 인구가 150억 달러 비용을 들이면서 고생하는 간질 질환은 불규칙한 신경 계통에서 오는 질환 중에 하나이다. 본 기술은 간질 질환 발생 경우를 찾고 전기적으로 폐쇄형 구조에서 발작을 억제하게 한다.

Sensors & Displays
이 세션에서는 외부노이즈에 둔감한 가속도계(Accelerometer)를 위해 MEMS 센서와 회로에 차동 신호처리방법을 확대 적용하고 있다. MEMS 마이크로폰의 칩면적을 줄이기 위한 AC바이어 싱 방법이 소개되고, 심박동 및 배터리 전류 센싱을 위한 극한의 저전력 구현 방법이 또한 소개된다. 디스플레이 및 충전기 등의 외부노이즈에 매우 강한 2가지 새로운 방식의 Mutual Capacitive 터치 센싱 방법이 대표논문으로 소개되고, 최근 개발되고 있는 새로운 열적외선 및 초음파 이미지센서가 소개될 예정이다.

A 55dB SNR with 240Hz Frame Scan Rate Mutual Capacitor 30*24 Touch Screen Panel Read-Out IC using Code-Division Multiple Sensing Technique[논문번호22.5: 카이스트, 한국]

기술 요약: 코드분할다중 센싱 방식을 이용하여 동시에 모든 TX 라인을 구동하여 노이즈에 강하면서 터치프레임스캔 주파수를 240Hz까지 올렸다.

응용분야 및 경제적 효과: 모바일에 적용되는 터치스크린 디스플레이가 나날이 얇아짐에 따라, 디스플레이와 스위칭-모드 충전기에 의한 간섭에 점점 더 노출되고 있다. 본 논문에서는 간섭 요소들을 직교화하는 기술에 대하여 소개하고 있다.

Image Sensors
CMOS 이미지센서로 대표되는 기존의 이미지 공정의 미세화가 주춤해진 가운데, 스텍웨이퍼를 이용한 차세대 이미지센서기술의 비약적인 발전을 보여주는 논문들이 발표된다. 또한 기존 BSI(Back Side Illumination) 구조에서 보다 성능향상을 위한 다양한 기술들도 논문을 통해 발표된다.

이외에도 진보된 확장성과 성능을 갖는 스테레오 이미지센서 및 ToF(Time-of-Flight) 센서 기술들이 다루어진다. 또한 저전력 컴퓨터비전 응용을 위하여 비주얼 모션 센서관련 논문들도 발표된다. 그 외에도 저전력 ADC(Analog to Digital Converter) 설계 기술, 의료영상용 센서기술도 소개된다.
A 1/4-inch 8Mpixel Back-Illuminated Stacked CMOS Image Sensor[27.4: 소니, 일본]

기술 요약: 2층의 웨이퍼스택 구조 중에 위쪽 구조는 90nm CIS 공정을 이용한 이미지센서이고 아래쪽 구조는 65nm의 공정을 이용한 2백4십만 게이트의 로직칩으로 구성된다. 로직칩에는 영상 처리 기능이 추가되어 화질을 개선한다. 웨이퍼 사이의 연결은 구조의 가장자리에서 TSV로 연결된다.

응용 및 경제 효과: 3D스텍 구조의 CMOS 이미지센서는 양산 단계에 접어들었다. 본 기술은 스택을 통하여 많은 영상 처리 기능을 구현할 수 있기 때문에, 화질개선이 수월하면서도 HDR(high dynamicrange)과 같은 진보된 기능을 구현할 수 있다. 또한 모듈의 크기를 줄일 수 있어 스마트폰이나 태블릿에 적용 가능하다.

A 3D Vision 2.1M Pixels Image Sensor for Single-Lens Camera Systems[27.8: 파나소닉, 일본]
기술 요약: 2.75 x 2.75㎟ 화소 크기와 초당 30프레임 속도를 갖는 2.1백만 화소 3D비젼 이미지센서가 제안되었다. 렌티큘러 렌즈와 DML(digital microlens)로 이루어진 듀얼마이크로 렌즈 구조가 좌/우 시각에 해당하는 빛을 분리한다. DML은 또한 sub-wavelength 광학을 이용하여 빛의 유실을 막아 감도를 증가시키고 crosstalk을 개선시킨다.

응용 및 경제효과: 일반적인 양안(stereo) 타입의 3D카메라는 그 구조 때문에 응용분야가 한정적이지만, 제안된 이미지센서는 일반적인 카메라를 센서 교체만으로 스테레오 카메라로 만들 수 있다. 즉, DSLR, 모바일 카메라, 내시경, 자동차용 카메라 등 거의 모든 분야에 적용 가능하다.

메모리 분과

메모리 분과에는 총 3개 세션에서 18편의 논문을 발표했다. 아시아 10편(한국2편), 미국 5편, 유럽 3편. 주요 세션에는 Non-Volatile Memory Solutions,  High-Performance DRAM Interfaces, Advanced Embedded SRAM.


비휘발성 메모리
NAND Flash로 대표되는 기존의 비휘발성 메모리의 공정 미세화가 주춤해진 가운데, 차세대 메모리 기술의 비약적인 발전을 보여주는 논문들이 발표된다. 또한 기존 NAND Flash 메모리의 신뢰성과 전체 시스템의 성능향상을 위한 다양한 기술들도 논문을 통해 발표된다. 이외에도 현재 비휘발성 메모리의 문제점을 해결할 수 있는 가능성들이 논문으로 발표될 예정이다.

● ReRAM& MRAM
   •24nm 32Gb ReRAM[12.1Sandisk/Toshiba]
   •Scalable filament forming for ReRAM[12.6Panasonic]
   •40nm 1Mb STT-MRAM [12.8TSMC]
   •Sense amplifier for 40nm STT-MRAM [12.4Infineon]

● Highest-density and High reliability Flash
   •20nm 128Gb3bit/cell NAND[12.7Micron]
   •40nm SG-MONOS for automotive [12.2 Renesas]
   •Unified solid-storage tolerating 32X BER[12.9Chuo U.]
   •45nm 6b/cell charge-trapping Flash[12.7 Macronix]
   •Inductive-coupling wake-up transceiver[12.3Keio U.]Non-Volatile Memory Solutions

고성능 DRAM 인터페이스
최근에는 클라우드 컴퓨팅 및 이를 위한 통신이 반도체 시장의 성장을 이끌어가는 주동력원이 되고 있다. 스마트폰 같은 모바일 기기들에 들어가는 DRAM 메모리는 이런 시스템의 요구 조건을 충족하기 위해 더욱 빠른 동작 속도를 더욱 적은 전력으로 구현해야 하는 숙제를 가지게 되었다.

DRAM 메모리와 프로세서간의 통신을 담당하는 DRAM 인터페이스는 전체 성능향상을 위해 개선되어야 하는 주요 대상이 되었다. 본 세션에서는 고속 저전력 송수신기에 대한 논문이 2편, 고속데이터 송신을 위한 기준클록제어기술에 대한 논문이 1편, 그리고 데이터 핀 하나마다 초당 10기가비트(영화 1편 분량)의 전송을 가능케 하는 PLL 블록의 논문이 1편 발표된다.

●고속 저전력 DRAM 메모리 인터페이스
   • 6.4Gbps/pin transceiver for dual-rank DIMM [17.1Rambus]
   • 4Zo termination for 27% power reduction [17.2포항공대]-종단저항 조절을 통해 기존대비 인터페이스 전력 소모를 27% 감소
   • 5.7mW/Gbps transmitter with slew rate control[17.3IBM]
   • 10Gbps/pinGDDR interface [17.4고려대]-그래픽용 고속 DRAM을 위해 핀당 10Gbps의속도를 보이면서 저전압에서도 높은 신호 무결성을 가지는 인터페이스 개발

Advanced Embedded SRAM
SRAM 메모리는 단품으로는 제품 시장이 없어졌지만, 프로세서 성능에 가장 큰 영향을 미치는 캐시(Cache) 메모리로는 여전히 중요한 위치를 차지하고 있다. 이런 SRAM의 미세화는 지금까지 계속되어 본 세션에서는 세계 최소 크기인 20nm 공정에서 만들어진 SRAM이 소개된다.

미세화와 대용량화에 따라 저전력 소모가 SRAM 메모리 설계에서 점점 중요한 요소로 부상하고 있다. 이 세션에서는 3편의 논문에서 동작전력과 대기전력을 획기적으로 줄일 수 있는 각각의 방법들이 소개된다. 마지막 논문 1편은 세계에서 가장 높은 성능의 캐시 메모리를 선보인다.

TD 분과

Emerging Medical and Sensor Technologies
이 세션에서는 약물전달을 위한 IC부터 EEG와 EMG를 포함하는 의복형 생체신호 진단 및 분석을 위한 IC까지, 건강상태 진단 및 치료를 위한 반도체 소자의 최신 발전기술을 소개한다. 또한 유기 인쇄기술로 구현된 ADC, 탄소나노튜브 FET을 이용한 축전 센서, 화성탐사기 응용을 위한 라만 분광기용 SPAD line 센서 등을 포함하여, 뜨고 있는 센서응용 기술들에 초점을 맞추었다.

An 87mA-min iontophoresis Controller IC with Dual-Mode Impedance Sensor for Patch Type Transdermal Drug Delivery System[6.1: KAIST]
•패치 형태의 피부를 통한 약물전달시스템을 위한 이중 방식 임피던스센서를 포함하는 110nm, 5.5㎟, 2.2mW, 분 용량 87mA이 온도입 치료조절 IC.
•피부의 온도와 접촉/ 조직임피던스를 측정함으로 자극을 조절하는 것이 가능하며, 이로 하여금 실시간으로 감지하며 약물을 주입할 수 있다.

1μm Thickness 64 Channel Surface Electromyogram Measurement Sheet with 2V Organic Transistors for Prosthetic Hand Control[6.4: University of Tokyo]
•18㎠, 64채널의 표면 근전도 측정 시트가의 수 조종을 위해 2V 유기 트랜지스터로 구현되었다.
•분배, 공유된 증폭기구조를 사용하여 4층의 전극 밀도가 가능하였으며, 공정 전 선택과 연결 방법으로 트랜지스터의 불일치 특성과 전력소모를 줄였다.

A 1024x8, 1.1ns time-gated SPAD line sensor for laser Raman spectroscopy and LIBS in space and rover based planetary exploration[6.7: Delft University of Technology]
•시간 분해라만 분광기를 위한 30㎟, 1024x8시분할 SPAD line 센서.
•다음 세대의 화성탐사기를 위한, 0.35μm HV CMOS 공정의 센서는 44%의 충전률과 475nm에서 21%의 광자감지 확률, 250ps의 시간 해상도 그리고 1.1ns의 빠른 시분할이 가능하다.

메모리와 무선 기술
오늘날 공정 기술의 미세화로 인해 계산능력과 메모리, 통신기술 등이 발전하였으며 이로 인해서 에너지 효율이 높은 메모리 기술과 무선통신기술이 유비 쿼터스 휴대기기들에 있어서 매우 중요해지고 있다. 이 세션에서는 최신 메모리 기술과 에너지 효율이 높은 근거리 무선통신기술을 소개한다.

비휘발성 메모리를 위한 데이터 기억장지, 이들의 동작을 조절하기 위한 타이밍 조절회로 및 MEMs 오실레이터 집적 기술이 이번 세션에서 소개될 것이다. 이러한 기술들은 미래에 센서, 인체 주위 네트워크, 모바일플랫폼에 사용될 수 있으며 이를 통해서 모바일 기기들로 구성된 유비 쿼터스 시스템을 구현할 수 있을 것으로 전망된다.

A Versatile Timing Microsystem based on Wafer-level Packaged XTAL/BAW Resonators with sub-μW RTC Mode and Programmable HF Clocks[11.3: CSEM]
•이 논문은 32kHz부터 수십 MHz의 넓은 범위의 클락을 시스템에 제공하기 위한 저주파용 Quartz와 BAW로 구성된 신호 발생원을 제안하였으며 vacuum sealing wafer packaging 기술이 사용되었다.
•이 신호 발생원의 경우 RTC 모드에서 1uW보다 적은 파워를 소모하고 RC PLL이 동작하는 경우 0.1mW를 소모하며 BAW DCO를 사용할 경우 10mW를 소모한다.

A 0.15-mm-Thick Non-Contact Connector for MIPI using Vertical Directional Coupler[11.5: Keio University]
•세계 최초로 Mobile Industry Processor Interface(MIPI) 기술을 위한 0.15mm의 통신거리를 가지는 무선통신기술을 제시하였다.
•90nm CMOS 공정 기술을 사용하여 제작된 송신기는 fully balanced pulse 기법을 사용하여 1.5pJ/b의 에너지를 소모하며 2개의 채널로 동작이 가능하고 EMI를 최소화하였다.

1.2Gb/s 3.9pJ/b Mono-Phase Pulse Modulation Inductive Coupling Transceiver for mm-range Board-to-Board Communication[11.6: KAIST]
•밀리미터 이상의 무선통신거리를 가지며 mono-phasepulse 기법을 사용하는 인덕티브 커플링송수신기가 보드 사이의 고속데이터통신을 위해 제시되었다.
•제안된 송수신기는 130nm CMOS 공정으로 개발되었으며 1.2V의 supply voltage에서 1.2Gb/s의 통신 속도를 가진다. 데이터 전송을 위한 송수신기는 3.9pJ/b, 클락 전송을 위한 송수신기는 0.73pJ/b의 에너지를 소모한다.

 


 


이 기사를 공유합니다
저작권자 © 테크월드뉴스 무단전재 및 재배포 금지